int to hexadecimal in c++

#include <iostream>
#include <iomanip>
using namespace std;

int main() {
    int decimalNumber = 255;

    cout << "Decimal number: " << decimalNumber << endl;
    cout << "Hexadecimal number: " << hex << decimalNumber << endl;

    return 0;
}